IEEE Design and Test of Computers, Mar/Apr 2008

Written by BlueFox on 9:16:00 AM

The Current State of Test Compression
- Progress in test compression.
- Historical perspective on scan compression.
- VirtualScan: Test compression technology using combinational logic and one-pass ATPG.
- UMC-Scan Test Methodology: Exploiting the maximum freedom of multicasting.
- Hierarchical test compression for SoC designs.

Design and Test of RFIC Chips
- Loopback DFT for low-cost test of single-VCO-based wireless transceivers.
- Wireless system for microwave test signal generation.

Error Tolerance
An illustrated methodology for analysis of error tolerance.

PDF | 6.1 MB

Download link 1
Download link 2

Related Posts by Categories



Widget by Hoctro | Jack Book
  1. 0 Comments: Responses to “ IEEE Design and Test of Computers, Mar/Apr 2008 ”